מהדורה שנייה של "לימוד שפת VHDL לסימולציה וסינתזה"

הספר מתאים לסטודנטים ותלמידים בתחום אלקטרוניקה והנדסת חשמל ומחשבים. הספר מביא את הקורא לרמת ידע גבוהה של השפה. הספר מתאים לתכניות לימוד באקדמיה במכללות ובבתי ספר תיכוניים. הספר מתאים לתכנית הלימודים מה"ט ומשרד החינוך.

זהו ספר לימוד מעמיק שמכסה את רוב המרכיבים של השפה. הספר יכול לשמש הן כספר לימוד קלאסי והן כחוברת עבודה ללימוד עצמי של השפה. הספר בנוי באופן גמיש כך שקוראים שאין להם את כל סוגי הכלים או שהם מאוד קצרים בזמן, אינם חייבים להשתמש בכל פרקי הספר. במלים אחרות הספר מתאים למגוון רחב של סוגי קורסים וקהלי יעד.בנוסף להנחיות והמלצות הלימוד שמופיעות בספר במהדורה החדשה סומנו חלק מתת-הפרקים בתו – כוכבית (*). המשמעות של תו זה היא שניתן לדלג על קריאת תת-הפרק, וזאת מבלי לפגוע בהמשך הקריאה. סימנים אלו יקלו על הקוראים שרוצים להוריד במידה מסוימת את היקף הנושאים בכל פרק וזה מתאים ללומדים שמשתתפים בקורס מהיר או מצומצם יותר.

לתרגול מעשי עם רכיבי מתוכנתים של Altera ניתן להסתייע בספר: "תכן ספרתי ומבוא לפרויקטים עם רכיבי Altera" מאת אותו המחבר וניתן להשתמש בשני הספרים כיחידות לימוד משלימות.

פרקי הספר:

  1. מבוא לשפה ולכלים
  2. היסודות של השפה
  3. יסודות התיאור ההתנהגותי
  4. אבני בניה לסינתזה צירופית
  5. אבני בניה לסינתזה סינכרונית
  6. אבני בניה לסימולציה
  7. תיאורים מבניים בסיסיים
  8. תיאורים מבניים מורכבים
  9. הגדרות סוגי מידע ותיאור מכונת מצבים
  10. הגדרת מערכים ותיאור רכיבי זיכרון
  11. פונקציות ופרוצדורות והרחבות לשפה
  12. טיפול בקבצים

הספר יצא כאמור לאור במהדורה שנייה, לאחר שהמהדורה הראשונה של הספר זכתה לפופולאריות רבה מאוד ואזלה במהירות. אנשים רבים שרכשו את הספר ועשו בו שימוש שלחו משוב מאוד מועיל על הספר. המשוב כלל בעיקר שאלות, בקשות שונות לתוספות ושיפורים וכן תיקוני טעויות.

במהלך חייה של המהדורה הקודמת של הספר (בשנת 2008), התקן של שפת VHDL עבר גם שדרוג רציני (ויצא לאור ב 2009). בניגוד לשדרוגים הקודמים של השפה שלא היו כל כך  משמעותיים, מדובר בשדרוג משמעותי ויצרני הכלים שהתגובה שלהם לשדרוגים הקודמים הייתה איטית, מבצעים כיום שדרוגים בכלים שלהם בקצב מהיר, בכדי לתמוך בשינוי החשוב בשפה. התמיכה כיום של כלי סימולציה בתקן החדש היא כמעט מלאה. בכך יצרנים אלו מגדילים מאוד את האטרקטיביות של רכישת עדכונים לכלים שלהם על ידי המשתמשים. גם יצרני רכיבים וכלי סינתזה וכן יצרנים של כלי סינתזה צד שלישי, אינם נשארים מאחור במרוץ הזה. רוב הכלים הללו תומכים כבר כיום במידה חלקית בעדכון והתמיכה מתרחבת במהירות מגרסה לגרסה.

המהדורה החדשה של הספר, כוללת גם תוספות ושינויים שהם תוצאה של המשוב המועיל של המשתמשים הרבים במהדורה הראשונה של הספר. כמובן שגם נעשו תיקוני טעויות. חלק מהפרקים הורחב ושופר במגוון רחב של נושאים (מדובר בערך בכמחצית מנושאי הספר שנכתבו מחדש או הורחבו ושופרו). גם חלק מהתרגילים שונו תוקנו והורחבו. הספר תפח מבערך 700 עמוד לבערך 900 עמוד. המהדורה החדשה מטפלת גם באספקטים רבים של התקן החדש של השפה (VHDL-2008) אך לא בכולם.